Computer Science/논리설계

논리설계 | Vivado로 Vellilog 시작하기

토마토. 2023. 9. 6. 15:05

이번 학기에는 전기정보공학부에서 논리설계 및 실험 수업을 수강하고 있다. 논리설계 수업이니 납땜을 할 줄 알고 기대가 되었는데 알고보니 요새는 시뮬레이터 소프트웨어를 이용해서 과제를 한다고 한다. 우리 수업에서는 AMD에서 만든 Vivado Design Suite라는 소프트웨어를 이용해서 실습을 한다. Vivado Design Suite는 FPGA(Field-programmable gate array, 프로그래밍이 가능한 집적 회로 반도체)를 시뮬레이팅하는 소프트웨어다. 

위 사진이 Vivado 프로그램에서 Velilog을 이용하여 만든 회로다. 아래 velilog 코드를 이용하여 만든 AND Gate이다. 

module test_v(
input a, b, 
output c

    );
    assign c = a & b;
endmodule

위처럼 모듈에 input, output을 정의해줄 수 있다. 

a, b 변수에 value 0을 강제해주자, 변수 c의 value도 0이 되는 결과를 확인할 수 있다. 

수업에서 앞으로 Velilog을 배우게 될텐데 그 과정을 블로그에 기록하고 싶다. 

참고) VIVADO 사용법(완전 기초) :: 둥's 이것저것 (tistory.com)